加入24年中科院考研群 加入24年中科大考研群
计算技术研究所胡伟武 男 博导
 

科院考研推荐链接:

考研资料:中科院考研真题  

考研信息:找个直系学长,咨询考研问题   

考研一对一:学长带队,复习不累

研究领域

计算机体系结构、集成电路设计

教育背景

   
学位
中科院计算所 1991.09--1996.03 工学博士学位 
出国学习工作
   

工作经历

   
工作简历

 1996.3-1997.6,中国科学院计算技术研究所,助研

1997.7-2000.2,中国科学院计算技术研究所,副研

2000.3-至今,中国科学院计算技术研究所,研究员

 

社会兼职
20080602-- 全国人大代表 

教授课程

处理器设计

专利与奖励

   
奖励信息

1、“并行计算机及并行算法”,1995年,中国科学院“中科院科技进步奖”二等奖,第9完成人

2、博士学位论文“共享存储系统中的访存事件次序”,1999年,国家教育部“全国优秀博士学位论文奖”

3、“龙芯CPU”,2003年,中国科学院“中科院杰出成就奖”,排名第2

4、2004年,中国工程院“光华工程科技奖”青年奖

5、“龙芯2号增强型高性能通用处理器”,2006年,中国计算机学会“王选奖”一等奖,第1完成人

6、2006年,入选国家人事部“新世纪百千万人才工程”

7、2007年,中央组织部、国家人事部、中国科协“中国青年科技奖”

8、“面向计算机系统结构领域高级人才培养的课程建设”,2008年,中国科学院“中科院教学成果奖”一等奖,第1完成人

专利成果
1、20040901 动态索引的微处理器高速缓存方法 ZL01144708.7 发明 
2、20040602 CPU硬件支持的系统攻击防范方法 ZL01135046 .6 发明 
3、20070321 MIPS指令集的处理器扩展指令及其编码方法和部件 ZL200410039460.1  发明 
4、20051228 基于操作队列复用的指令流水线系统和方法 ZL01141495.2  发明 
5、20060329 一种定点除法部件中提前终止循环计算的方法 ZL03154837.7  发明 
6、20070606 一种浮点除法部件中提前终止循环计算的方法及电路 ZL03155044.4 发明 
7、20060826 一种减少SRT-4除法和开根部件循环次数的方法及电路 ZL03155313.3 发明 
8、20080723 在微处理器用户态随机验证中实现核心态程序验证的方法 ZL200610078226.9  发明 

出版信息

发表文章 
1. Weiwu Hu, Ru Wang, Yunji Chen, etc. Godson-3B: A 1GHz 40W 8-Core 128GFlops Processor in 65nm CMOS. International Solid-State Circuits Conference(ISSCC’2011) 
2. Qi Guo, Tianshi Chen, Haihua Shen, Yunji Chen and Weiwu hu ,Empirical Design Bugs Prediction for Verification, Design, Automation and Test in Europe(Date’2011) 
3. Yunji Chen, Weiwu Hu, Tianshi Chen, Ruiyang Wu, LReplay: A Pending Period Based Deterministic Replay Scheme, Proc. Of 37th ACM/IEEE International Symposium on Computer Architecture(ISCA 2010) (EI) 
4. Menghao Su, Yunji Chen, and Xiang Gao, A General Method to Make Multi-Clock System Deterministic, Proc. of Design, Automation, and Test in Europe (DATE'10), 2010(EI) 
5. Dan Tang, Yungang Bao, Weiwu HU, Mingyu Chen, DMA Cache: Using On-Chip Storage to Architecturally Separate I/O Data from CPU Data for Improving I/O Performance, the 16th International Symposium on High Performance Computer Architecture(HPCA’10)(EI) 
6. Yunji Chen, Yi Lv, Weiwu Hu, Tianshi Chen, Haihua Shen, Pengyu Wang, Hong Pan. Fast Complete Memory Consistency Verification. The 15th International Symposium on High-Performance Computer Architecture (HPCA2009) (EI) 
7. Weiwu Hu, Jian Wang, Xiang Gao, Yunji Chen, Qi Liu, Guojie Li,Godson-3: A Scalable Multi-core RISC Processor with X86 Emulation Support,IEEE Micro, Vol. 29, No. 2, March 2009 (EI) 
8. Weiwu Hu, Qi Liu, Jian Wang, Songsong Cai,etc, Efficient Binary Translation System with Low Hardware Cost, IEEE International Conference on Computer Design, 2009(EI) 
9. Weiwu Hu, Jian Wang, Xiang Gao, Yunji Chen, Micro-architecture of Godson-3 Multi-Core Processor, hot chips 2008 
10. Weiwu Hu, Jian Wang, Making Effective Decisions in Computer Architects’ Real-World: Lessons and Experiences with Godson-2 Processor Designs, Journal of Computer Science and Technology, 23(4): 620-632 July 2008(SCI Index) 
11. Weiwu Hu, Jiye Zhao, Shiqiang Zhong, Xu Yang, Elio Guidetti, Chris Wu, Implementing a 1GHz Four-issue Out-of-Order Execution Microprocessor in a Standard Cell ASIC Methodology, Journal of Computer Science and Technology,22(1):1-14, January 2007(SCI Index) 
12. Weiwu Hu, Rui Hou, Junhua Xiao, Longbing Zhang, High performance general-purpose microprocessors: Past and future,Journal of Computer Science and Technology, 21(5):631-640, September 2006(SCI Index) 
13. 胡伟武,张福新,李祖松,龙芯2号处理器设计和性能分析,计算机研究与发展,43(6):959-966,2006(EI收录) 
14. 7 Weiwu Hu, Fuxin Zhang, Zusong Li, Microarchitecture of the Godson-2 processor. Journal of Computer Science and Technology, 20(2):243-249, 2005.2.(SCI Index) 
15. 胡伟武,唐志敏,龙芯1号处理器结构设计,计算机学报,2003,26(4): 385-394(EI收录) 
16. Hu Weiwu, Zhang Fuxin, Liu Haiming, Dynamic data prefetching in home-based software DSMs, Journal of Computer Science and Technology, 16 (3): 231-241, 2001 (SCI收录) 
17. Hu Weiwu, Zhang Fuxin, and Liu Haiming. Optimizing Home-based Software DSM Protocols, Cluster Computing, 4(3), 2001. 
18. Hu Weiwu, Shi Gang, and Zhang Fuxin. Communication With Threads in Software DSMs, in Proceedings of the 2001 International Conference on Cluster Computing, Oct., 2001. 
19. Hu Wei, Zhang Fuxin, Liu Haiming. A new home-based software DSM protocol for SMP clusters, EURO-PAR 2000 PARALLEL PROCESSING, PROCEEDINGS, 1900: 1132-1142 2000(SCI收录) 
20. Hu, Weiwu, Li Ren, Zhang Fuxin, Shi Weisong, and Tang Zhimin. Running Real Applications in Home-Based Software DSMs, in Proceedings of HPC-Asia 2000, pp. 148-153, may 2000 
21. Hu Weiwu, Shi Weisong, Tang Zhimin. Write detection in home-based software DSMs,EURO-PAR’99, PARALLEL PROCESSING, 1685: 909-913 1999(SCI收录、ISTP收录) 
22. Hu Weiwu, Shi Weisong, Tang Zhimin. JIAJIA: A software DSM system based on a new cache coherence protocol, HIGH-PERFORMANCE COMPUTING AND NETWORKING, PROCEEDINGS, 1593: 463-472,1999(SCI收录) 

 

 


 

 

 

 
 
上一篇:中国科学院高能物理研究所胡涛 男 博导 下一篇:国家纳米科学中心胡卫国 男

在线咨询

进入QQ咨询

王老师

微信咨询

杨老师

进入20中科院QQ群

709867297

进入20中科大QQ群

680149146

友情链接

科大科院考研网版权所有 © 2020-2022 皖ICP备2021018242号